寄生参数提取(PEX)定义

来源:https://www.synopsys.com/glossary/what-is-parasitic-extraction.html

In electronic design automation (EDA), parasitic extraction (PEX) is calculation of the parasitic effects in both the designed devices and the required wiring interconnects of an electronic circuit: parasitic capacitances, parasitic resistances and parasitic inductances, commonly called parasitic devices, parasitic components, or simply parasitics.

在电子设计自动化(EDA)中,寄生提取(PEX)是计算电子电路的设计器件和所需布线互连线中的寄生效应:寄生电容寄生电阻寄生电感,通常称为寄生器件、寄生元件或简单的寄生元件。

The major purpose of parasitic extraction is to create an accurate analog model of the circuit, so that detailed simulations can emulate actual digital and analog circuit responses. Digital circuit responses are often used to populate databases for signal delay and loading calculation such as: 

Timing analysis

Power analysis

Circuit simulation

Signal integrity analysis

寄生提取的主要目的是建立一个精确的电路模拟模型,使详细的模拟能够模拟实际的数字和模拟电路响应。 数字电路响应通常用于填充数据库,用于信号延迟和加载计算,如:

时序分析

电源分析

电路模拟

信号完整性分析

Analog circuits are often run in detailed test benches to indicate if the extra extracted parasitics will still allow the designed circuit to function.

模拟电路通常在详细的测试中运行表面额外提取的寄生电路是否仍然允许设计的电路正常工作。


图1 :从金属两侧和金属顶部和底部的互连寄生电容

注释:Parasitic capacitance between two neighboring layers of metal

           两个相邻金属层之间的寄生电容

            Parasitic capacitance between metals of the same layer

            寄生电容存在于相同层的金属之间


图2:MOS晶体管的寄生电容建模

Different categories of Parasitics
不同类别的寄生效应

On a typical semiconductor die you can categorize the parasitics in 3 categories:

在典型的半导体晶粒上,您可以将寄生在三个类别中:

Front-end of the Line (FEOL). Parasitics associated with the semiconductor devices.

前道工艺(FEOL)。寄生效应与半导体器件联系

Middle-end of the Line (MEOL). Parasitics associated with the contacts on semiconductor devices.、

中道制成工艺(MEOL)。寄生效应与半导体器件的连接点有联系

Back-end of the Line (BEOL). Parasitics associated with the interconnect layers.

后道工艺(BEOL)。寄生效应与互连层有关

How does Parasitic Extraction Work?

寄生效应提取是如何工作的。

There are two types of engines which can be used for parasitic extraction:

这里有两种经典的驱动策略被使用在寄生参数提取:

Fields Solver Based. In this method, the PEX engine solves Maxwell’s equations to calculate the parasitic R, C, L or K. This method is referred to as 3D extraction. It is a higher accuracy method than the rule-based method, but also takes more processing power and is not used for full-chip extraction. Within the field solver category, you could have finite element or random walk algorithm being employed – tradeoff being processing time vs. accuracy, finite element algorithm being more accurate. 

基于场解算器。在这种方法中,PEX引擎通过求解麦克斯韦方程来计算寄生电阻、电容、电感或K。这种方法称为3D提取。它是一种比基于规则的方法精度更高的方法,但也需要更多的处理能力,不用于全芯片提取。在“场解算器”类别中,可以使用有限元或随机游走算法--在处理时间与精度之间进行权衡,有限元算法更精确。


图 3 基于场求解器的寄生参数提取流程

工艺规范

设计布局  

参数提取。。。。

Rule Based. In this method, the PEX engine uses a look up table to calculate the parasitic R or C. This method is referred to as 2D or 2.5D extraction. It can support full-chip extraction.

规则提取算法。在这种方法中,PEX引擎使用一个查找表来计算寄生R或C。这种方法称为2D或2.5D提取。支持全芯片提取。


图 4:规则算法寄生参数提取流程

工艺规范 模型校准  提取规则 基于规则算法的寄生参数提取  提取网络。。

What solutions does Synopsys offer for Parasitic Extraction?

Synopsys为寄生参数提取提供了哪些解决方案?

Synopsys offers parasitic extraction solutions for both digital and custom design environments:

Synopsys为数字和定制设计环境提供寄生提取解决方案:

StarRC™ solution is the EDA industry’s gold standard for parasitic extraction. A key component of Synopsys Design Platform, it provides a silicon accurate and high-performance extraction solution for SoC, custom digital, analog/mixed-signal. memory IC  and 3DIC designs. StarRC offers modeling of physical effects for advanced process technologies, including FinFET technologies at 16 nm, 14 nm, 10 nm, 7 nm, 5 nm and beyond. Its seamless integration with industry standard digital and custom implementation systems, timing, signal integrity, power, physical verification and circuit simulation flows along with debugging capability delivers unmatched ease-of-use and productivity to speed design closure and signoff verification. StarRC comes with in-built field solver Rapid3D™, which can serve as a reference or provide higher accuracy measurements. 2.5D and 3D-IC extraction is also supported by StarRC.

Starc™ 解决方案是EDA行业寄生提取的黄金标准。作为Synopsys设计平台的关键组件,它为SoC、定制数字、模拟/混合信号提供了一种硅精度高性能的提取解决方案。存储器IC和3DIC设计。StarRC为先进工艺技术提供物理效应建模,包括16 nm、14 nm、10 nm、7 nm、5 nm及以上的FinFET技术。它与行业标准的数字和定制实现系统、定时、信号完整性、电源、物理验证和电路模拟流程以及调试功能无缝集成,提供无与伦比的易用性和生产率,以加快设计关闭和签核验证。StarRC配备了内置的场解算器Rapid3D™,可作为参考或提供更高精度的测量。StarRC还支持2.5D和3D-IC提取。

QuickCap NX is the golden extraction reference tool based on high accuracy 3D Field Solver which is well suited for advanced 14nm FinFET and beyond process technologies. Embedded 3D device visualizer makes it ideal for process exploration. High accuracy extraction, reference tool to rule based extractor, standard cell characterization, memory cell characterization and enhancing PDK quality are some of the key applications served by QuickCap NX.

QuickCap NX是基于高精度3D场解算器的黄金提取参考工具,非常适合先进的14nm FinFET和其他工艺技术。嵌入三维器件可视化工具使其成为过程探索的理想选择。高精度提取、基于规则的抽取器的参考工具、标准单元表征、存储单元表征和增强PDK质量是QuickCap NX服务的一些关键应用。

Raphael is the gold standard, 2D and 3D resistance, capacitance and inductance extraction tool for optimizing on-chip parasitic for multi-level interconnect structures in small cells. As a reference field solver, Raphael provides the most accurate parasitic models in the industry. Trusted by major foundries, interconnect parasitics generated by Raphael are included as part of their design reference guide.

Raphael是一个黄金标准、二维和三维电阻、电容和电感提取工具,用于优化小单元中多层互连结构的片上寄生。作为一个参考场解算器,Raphael提供了业界最精确的寄生模型。受主要铸造厂的信任,由Raphael产生的互连寄生作为其设计参考指南的一部分。

©著作权归作者所有,转载或内容合作请联系作者
  • 序言:七十年代末,一起剥皮案震惊了整个滨河市,随后出现的几起案子,更是在滨河造成了极大的恐慌,老刑警刘岩,带你破解...
    沈念sama阅读 201,049评论 5 473
  • 序言:滨河连续发生了三起死亡事件,死亡现场离奇诡异,居然都是意外死亡,警方通过查阅死者的电脑和手机,发现死者居然都...
    沈念sama阅读 84,478评论 2 377
  • 文/潘晓璐 我一进店门,熙熙楼的掌柜王于贵愁眉苦脸地迎上来,“玉大人,你说我怎么就摊上这事。” “怎么了?”我有些...
    开封第一讲书人阅读 148,109评论 0 333
  • 文/不坏的土叔 我叫张陵,是天一观的道长。 经常有香客问我,道长,这世上最难降的妖魔是什么? 我笑而不...
    开封第一讲书人阅读 54,097评论 1 272
  • 正文 为了忘掉前任,我火速办了婚礼,结果婚礼上,老公的妹妹穿的比我还像新娘。我一直安慰自己,他们只是感情好,可当我...
    茶点故事阅读 63,115评论 5 363
  • 文/花漫 我一把揭开白布。 她就那样静静地躺着,像睡着了一般。 火红的嫁衣衬着肌肤如雪。 梳的纹丝不乱的头发上,一...
    开封第一讲书人阅读 48,280评论 1 279
  • 那天,我揣着相机与录音,去河边找鬼。 笑死,一个胖子当着我的面吹牛,可吹牛的内容都是我干的。 我是一名探鬼主播,决...
    沈念sama阅读 37,748评论 3 393
  • 文/苍兰香墨 我猛地睁开眼,长吁一口气:“原来是场噩梦啊……” “哼!你这毒妇竟也来了?” 一声冷哼从身侧响起,我...
    开封第一讲书人阅读 36,398评论 0 255
  • 序言:老挝万荣一对情侣失踪,失踪者是张志新(化名)和其女友刘颖,没想到半个月后,有当地人在树林里发现了一具尸体,经...
    沈念sama阅读 40,553评论 1 295
  • 正文 独居荒郊野岭守林人离奇死亡,尸身上长有42处带血的脓包…… 初始之章·张勋 以下内容为张勋视角 年9月15日...
    茶点故事阅读 35,440评论 2 317
  • 正文 我和宋清朗相恋三年,在试婚纱的时候发现自己被绿了。 大学时的朋友给我发了我未婚夫和他白月光在一起吃饭的照片。...
    茶点故事阅读 37,487评论 1 329
  • 序言:一个原本活蹦乱跳的男人离奇死亡,死状恐怖,灵堂内的尸体忽然破棺而出,到底是诈尸还是另有隐情,我是刑警宁泽,带...
    沈念sama阅读 33,176评论 3 317
  • 正文 年R本政府宣布,位于F岛的核电站,受9级特大地震影响,放射性物质发生泄漏。R本人自食恶果不足惜,却给世界环境...
    茶点故事阅读 38,750评论 3 303
  • 文/蒙蒙 一、第九天 我趴在偏房一处隐蔽的房顶上张望。 院中可真热闹,春花似锦、人声如沸。这庄子的主人今日做“春日...
    开封第一讲书人阅读 29,821评论 0 19
  • 文/苍兰香墨 我抬头看了看天上的太阳。三九已至,却和暖如春,着一层夹袄步出监牢的瞬间,已是汗流浃背。 一阵脚步声响...
    开封第一讲书人阅读 31,049评论 1 257
  • 我被黑心中介骗来泰国打工, 没想到刚下飞机就差点儿被人妖公主榨干…… 1. 我叫王不留,地道东北人。 一个月前我还...
    沈念sama阅读 42,559评论 2 348
  • 正文 我出身青楼,却偏偏与公主长得像,于是被迫代替她去往敌国和亲。 传闻我的和亲对象是个残疾皇子,可洞房花烛夜当晚...
    茶点故事阅读 42,150评论 2 341

推荐阅读更多精彩内容