现在需要新建一个VHDL子模块,利用已经得到的频率计数来计算最终的结果。子模块传入数据类型为std_vector(31 downto 0),但标准逻辑矢量不能用于乘除运算,需要转化为整数来运算,但在VHDL语句中整数的上限为2147483647,且在除法运算中容易丢失精度,所以我想将其转化为实数来计算,采用网上的方法,直接使用赋值法来强制转换类型,但仿真结果却出现了错误,转化为结果恒为1,。所以说,到底应该怎么转化,或者说VHDL语言不支持直接浮点运算?
主要程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity calculator is
port(
en:in std_logic;
bzq_in:in std_logic_vector(31 downto 0);
tsq_in:in std_logic_vector(31 downto 0);
mkh_in:in std_logic_vector(31 downto 0);
mkl_in:in std_logic_vector(31 downto 0);
line1:out std_logic_vector(127 downto 0);
line2:out std_logic_vector(127 downto 0));
end calculator;
architecture behav of calculator is
signal fx,duty_cycle:integer range 0 to 200000000;
signal bzq_temp,tsq_temp,mkh_temp,mkl_temp:integer range 1 to 2147483647;--4294967295;
TYPE list IS ARRAY (0 TO 8)OF integer range 0 to 9;
signal list1,list2:list;
TYPE listt IS ARRAY (0 TO 8)OF std_logic_vector(7 downto 0);
signal list3,list4:listt;
begin
process(en)
variable temp:integer:=100000000;
variable temp2:integer:=10;
variable f1,f2,f3,f4,fx_temp,duty_cycle_temp:real;
variable x1,x2:integer range 0 to 200000000;
variable fs:real:=100000000.0;
variable f_10:real:=10.0;
begin
if en'event and en='0' then
bzq_temp<=conv_integer(bzq_in);
tsq_temp<=conv_integer(tsq_in);
mkh_temp<=conv_integer(mkh_in);
mkl_temp<=conv_integer(mkl_in);
f1:=real(bzq_temp);
f2:=real(tsq_temp);
f3:=real(mkh_temp);
f4:=real(mkl_temp);
fx_temp:=fs*(f2/f1);
duty_cycle_temp:=(f_10*f3)/(f3+f4);
fx<=integer(fx_temp);
duty_cycle<=integer(duty_cycle_temp);
经过老师指导,终于明白,硬件描述语言HDL对浮点运算的支持并不友好,如果我们需要进行浮点运算,最好还是使用IP核,即编写一个乘除法器来实现,其内部原理要用到cordic算法。所以说,在我们目前的设计中(电子设计竞赛),涉及到数据处理最好还是交给单片机来处理。