StarRC 数据手册

StarRC Solution

StarRC 解决方案

Semiconductor process technology has been continually scaling down for the past four  decades and the trend continues. 

半导体工艺技术一直在缩小已经持续了四十多年,这个趋势仍在继续。

Shrinking process geometries, combined with the use of new device structures like FinFETs and an increasing number of metal layers at each new process node, are introducing millions of new parasitic effects in designs.

几何预缩工序,结合使用新的器件结构,如FinFET和在每个新的工艺节点上增加金属层的数量,在设计中引入了数百万个新的寄生效应。

In addition, soaring design sizes and complexities are increasing the sensitivity of circuits to parasitics due to the increasing impact on signal timing, noise and power.

此外,由于对信号定时、噪声和功率的影响越来越大,飙升的设计尺寸和复杂性增加了电路对寄生电路的灵敏度。

To ensure a successful silicon design and meet tapeout schedules, IC designers need an advanced parasitic extraction solution that delivers signoff accuracy and increased designer productivity.

为了确保成功的硅设计和满足磁带输出计划(tapeout:原意是指“下线”,指的是集成电路(IC)或印刷电路板(PCB)设计的最后步骤,也就是送交制造 ),IC设计人员需要一种先进的寄生提取解决方案,精度和提高设计人员的生产力。

 Furthermore, they need a solution that is versatile enough to manage the full design spectrum from custom digital, analog/mixed-signal (AMS) to full chip memory and SoC designs.

此外,他们需要一个足够通用的解决方案来管理从自定义数字、模拟/混合信号(AMS)到全芯片存储器和SOC设计的完整设计频谱。

Synopsys’ StarRC is the proven high-accuracy and high-performance parasitic extraction solution for digital and custom IC implementation and signoff verification (Figure 1).

新思科技的StarRC软件为数字和自定义IC实施和signoff验证提供了高进度高性能的寄生参数提取解决方案

 Trusted by hundreds of semiconductor companies and used in thousands of production designs, StarRC provides sub-femtofarad-accurate technology for design at advanced process technologies. 

在数百家半导体公司的信任下,在数千种生产设计中使用,StarRC为先进工艺技术的设计提供了高精度的技术。

It achieves its high accuracy by performing detailed modeling of device and interconnect parasitic effects in nanometer process technologies.

在纳米工艺技术中,通过对器件的详细建模和互连寄生效应,实现了其高精度。

 The advanced modeling and accuracy is complemented with the embedded Rapid3D field solver technology for circuits that require even higher accuracy.

先进的建模和精度与嵌入式快速三维场求解器技术相补充,用于需要更高精度的电路。

StarRC delivers industry-leading performance and capacity for users’ gate-level and transistor-level extraction needs.

StarRC提供行业领先的性能和容量,为用户的门级和晶体管级提取需求。

StarRC’s multi-core distributed processing technology delivers excellent scalability for efficient utilization of available hardware,and its simultaneous multi-corner extraction (SMC) feature allows the increasing number of extraction corners required for analysis to be processed within a single run with significantly reduced runtime and disk usage.

StarRC的多核分布式处理技术为有效利用可用硬件提供了极好的可伸缩性,其同时多角提取(SMC)特性允许在一次运行中处理分析所需的提取角的数量增加,大大减少了运行时和磁盘的使用。

 Its seamless integration with Synopsys’ place-and-route IC Compiler™ and IC Compiler II physical implementation, gold standard PrimeTime® static timing analysis (STA) signoff, Galaxy Custom Designer® mixed-signal implementation, IC Validator physical verification, CustomSim™ circuit simulation and other third-party implementation and signoff tools enables users to significantly accelerate their design implementation and verification.

StarRC完美整合了。。。。。。.软件使用户能够显著加快其设计实现和验证。

Benefits

优势

1.Foundry gold standard for extraction accuracy with broadest qualification and adoption

黄金标准的提取精度与最广泛的资格和采用

2.Leader in advanced modeling, including FinFET and color-aware multi-patterning at 10nm/7nm and beyond.

高级建模的领先者,包括FinFET和颜色感知的多图案在10nm/7nm和更高。

3.High performance and capacity for gate and transistor-level extraction, enabled by multi-core distributed processing and simultaneous multi-corner extraction

高性能和容量的门和晶体管级提取,启用多核分布式处理和同时多角提取

4.Tightly integrated with industry leading IC Compiler II and PrimeTime solutions for faster full-flow ECO turn-around time

紧密结合行业领先的IC编译器II和Prime时间解决方案,以更快的全流ECO周转时间

5.Unified Rapid3D fast field solver for critical net, IP, and custom circuit extraction

统一临界网、IP和自定义电路提取的统一Rapid3D快速场求解器

6.Advanced netlist reduction features for faster simulation turn-around time

先进的Netlist减少功能,以更快的模拟周转时间

7.Inductance extraction for high frequency digital RLC clock net analysis

高频数字RLC时钟网分析的电感提取

8.3D-IC extraction solution for interposer and stacked die technologies

3D-IC提取解决方案的干涉和堆叠模具技术

9. Integration with IC Validator physical verification, CustomSim circuit simulation, Galaxy Custom Designer and other third party implementation and custom design solutions for increased designer productivity

集成IC验证器物理验证、自定义SIM电路仿真、Galaxy自定义设计器等第三方实现和自定义设计解决方案,提高设计人员的生产力

©著作权归作者所有,转载或内容合作请联系作者
  • 序言:七十年代末,一起剥皮案震惊了整个滨河市,随后出现的几起案子,更是在滨河造成了极大的恐慌,老刑警刘岩,带你破解...
    沈念sama阅读 201,049评论 5 473
  • 序言:滨河连续发生了三起死亡事件,死亡现场离奇诡异,居然都是意外死亡,警方通过查阅死者的电脑和手机,发现死者居然都...
    沈念sama阅读 84,478评论 2 377
  • 文/潘晓璐 我一进店门,熙熙楼的掌柜王于贵愁眉苦脸地迎上来,“玉大人,你说我怎么就摊上这事。” “怎么了?”我有些...
    开封第一讲书人阅读 148,109评论 0 333
  • 文/不坏的土叔 我叫张陵,是天一观的道长。 经常有香客问我,道长,这世上最难降的妖魔是什么? 我笑而不...
    开封第一讲书人阅读 54,097评论 1 272
  • 正文 为了忘掉前任,我火速办了婚礼,结果婚礼上,老公的妹妹穿的比我还像新娘。我一直安慰自己,他们只是感情好,可当我...
    茶点故事阅读 63,115评论 5 363
  • 文/花漫 我一把揭开白布。 她就那样静静地躺着,像睡着了一般。 火红的嫁衣衬着肌肤如雪。 梳的纹丝不乱的头发上,一...
    开封第一讲书人阅读 48,280评论 1 279
  • 那天,我揣着相机与录音,去河边找鬼。 笑死,一个胖子当着我的面吹牛,可吹牛的内容都是我干的。 我是一名探鬼主播,决...
    沈念sama阅读 37,748评论 3 393
  • 文/苍兰香墨 我猛地睁开眼,长吁一口气:“原来是场噩梦啊……” “哼!你这毒妇竟也来了?” 一声冷哼从身侧响起,我...
    开封第一讲书人阅读 36,398评论 0 255
  • 序言:老挝万荣一对情侣失踪,失踪者是张志新(化名)和其女友刘颖,没想到半个月后,有当地人在树林里发现了一具尸体,经...
    沈念sama阅读 40,553评论 1 295
  • 正文 独居荒郊野岭守林人离奇死亡,尸身上长有42处带血的脓包…… 初始之章·张勋 以下内容为张勋视角 年9月15日...
    茶点故事阅读 35,440评论 2 317
  • 正文 我和宋清朗相恋三年,在试婚纱的时候发现自己被绿了。 大学时的朋友给我发了我未婚夫和他白月光在一起吃饭的照片。...
    茶点故事阅读 37,487评论 1 329
  • 序言:一个原本活蹦乱跳的男人离奇死亡,死状恐怖,灵堂内的尸体忽然破棺而出,到底是诈尸还是另有隐情,我是刑警宁泽,带...
    沈念sama阅读 33,176评论 3 317
  • 正文 年R本政府宣布,位于F岛的核电站,受9级特大地震影响,放射性物质发生泄漏。R本人自食恶果不足惜,却给世界环境...
    茶点故事阅读 38,750评论 3 303
  • 文/蒙蒙 一、第九天 我趴在偏房一处隐蔽的房顶上张望。 院中可真热闹,春花似锦、人声如沸。这庄子的主人今日做“春日...
    开封第一讲书人阅读 29,821评论 0 19
  • 文/苍兰香墨 我抬头看了看天上的太阳。三九已至,却和暖如春,着一层夹袄步出监牢的瞬间,已是汗流浃背。 一阵脚步声响...
    开封第一讲书人阅读 31,049评论 1 257
  • 我被黑心中介骗来泰国打工, 没想到刚下飞机就差点儿被人妖公主榨干…… 1. 我叫王不留,地道东北人。 一个月前我还...
    沈念sama阅读 42,559评论 2 348
  • 正文 我出身青楼,却偏偏与公主长得像,于是被迫代替她去往敌国和亲。 传闻我的和亲对象是个残疾皇子,可洞房花烛夜当晚...
    茶点故事阅读 42,150评论 2 341

推荐阅读更多精彩内容