SV语法学习

包的定义

  • 在大型的验证项目中,很容易出现模块重名的情况。
  • 对于重名的硬件模块我们可以将它们置入到不同编译的库中。
  • 对于重名的软件类、方法等,我们可以将它们置入到不同的包中。
  • 我们可能使用不同的验证IP,我们也无法预测这些类名是否可能重名。
  • 通过包(package)可以将关联的类和方法并入到同一个逻辑集合中。
  • 为了使得可以在多个模块(硬件)或者类(软件)之间共享用户定义的类型,SV添加了包(package)。
  • 用户自定义的类型譬如类、方法、变量、结构体、枚举类等都可以在package...endpackage中定义.
package definitions;
  parameter VERSION = "1.1";
  typedef enum{ADD,SUB,MUL} opcodes_t;
  typedef struct {
    logic [31:0] a,b;
    opcodes_t opcode;
} instruction_t;
  function automatic [31:0] multiplier (input [31:0] a, b);
    return a * b;
  endfunction
endpackage
  • module、interface、class等可以使用包中定义或者声明的内容。
  • 可以通过域的索引符号::直接引用。
definitions::parameter
definitions::instruction_t inst
  • 可以指定索引一些需要的包中定义的类型到指定的域中。
module M
  import definitions:: instruction_t;
  instruction_t inst;
endmodule
  • 通过通配符*来将包中所有的类别导入到指定的域中。
module M
  import definitions :: *
  instruction_t inst;
endmodule
  • 可以通过域的索引符号::直接引用。
module ALU(input definitions::instruction_t IW,
                          input logic clock,
                          output logic [31:0] result);
  always_ff @(posedge clock) begin
    case (IW.opcode)
      definitions::ADD:result = IW.a + IW.b;
      definitions::SUB : result = IW.a - IW.b;
      definitions::MUL :result = 
                                            definitions::multiplier(IW.a, IW.b);
    endcase
  end
endmodule

一般使用这种直接引用类型比较繁琐,是为了特意强调某些类型、变量是来自某个包,避免了重名以及方便代码阅读。

  • 可以指定索引一些需要的包中定义的类型到指定的域中。
module ALU(...);
  import definitions::ADD;
  import definitions::SUB;
  import definitions::MUL;
  import definitions::multiplier;
  always_comb begin
    case(IW.opcode)
      ADD :result = IW.a + IW.b;
      SUB:: result = IW.a - IW.b;
      MUL : result =  multiplier(IW.a, IW.b);
    endcase
  end
endmodule

例如,在ALU模块中先导出了枚举值ADD,SUB,MUL和函数multiplier.
因此就可以在always语句块中就可以直接使用这些类型了。
如果觉得从包中逐一导出比较繁琐:[也可以采用下面的方法]


image.png

示例问题:


image.png
  • 然而这两个package 中同名的类,它们的内容是不相同的,实现的也是不同的功能。
  • 由于我们将这些重名的类归属到不同的package中编译,这样如果要使用不同package中的的同名类,他们只需要注明要使用哪一个package中的。
module mcdf_tb;
  chnl_pkg:: monitor mon1 = new();
  arb_pkg:: monitor mon2 = new();
endmodule

由于类名本身有冲突,这使得在引用类的时候,不得不使用直接索引的方式,这在两个包中导出多个类型时就不方便了。在实际代码中一般建议包中的类型名称带有包名的前缀
因此可以通过通配符索引类型的方式来导入到模块中

image.png

  • 从上述的简单例子来看,package这个容器可以对类型做一个隔离的作用
  • package的意义在于将软件(类、类型、方法等)封装在不同的域中,以此来与全局的域进行隔离。
包与库的区分
  • 库是编译的产物,硬件(module 、interface、program)都会编译到库中,如果不指定编译库的话,会被编译进入默认的库中。
  • 库可以容纳硬件类型,也可以容纳软件类型,例如类、方法和包
  • 包只能容纳软件类型例如类、方法和参数
©著作权归作者所有,转载或内容合作请联系作者
  • 序言:七十年代末,一起剥皮案震惊了整个滨河市,随后出现的几起案子,更是在滨河造成了极大的恐慌,老刑警刘岩,带你破解...
    沈念sama阅读 200,961评论 5 473
  • 序言:滨河连续发生了三起死亡事件,死亡现场离奇诡异,居然都是意外死亡,警方通过查阅死者的电脑和手机,发现死者居然都...
    沈念sama阅读 84,444评论 2 377
  • 文/潘晓璐 我一进店门,熙熙楼的掌柜王于贵愁眉苦脸地迎上来,“玉大人,你说我怎么就摊上这事。” “怎么了?”我有些...
    开封第一讲书人阅读 148,009评论 0 333
  • 文/不坏的土叔 我叫张陵,是天一观的道长。 经常有香客问我,道长,这世上最难降的妖魔是什么? 我笑而不...
    开封第一讲书人阅读 54,082评论 1 272
  • 正文 为了忘掉前任,我火速办了婚礼,结果婚礼上,老公的妹妹穿的比我还像新娘。我一直安慰自己,他们只是感情好,可当我...
    茶点故事阅读 63,101评论 5 363
  • 文/花漫 我一把揭开白布。 她就那样静静地躺着,像睡着了一般。 火红的嫁衣衬着肌肤如雪。 梳的纹丝不乱的头发上,一...
    开封第一讲书人阅读 48,271评论 1 278
  • 那天,我揣着相机与录音,去河边找鬼。 笑死,一个胖子当着我的面吹牛,可吹牛的内容都是我干的。 我是一名探鬼主播,决...
    沈念sama阅读 37,738评论 3 393
  • 文/苍兰香墨 我猛地睁开眼,长吁一口气:“原来是场噩梦啊……” “哼!你这毒妇竟也来了?” 一声冷哼从身侧响起,我...
    开封第一讲书人阅读 36,395评论 0 255
  • 序言:老挝万荣一对情侣失踪,失踪者是张志新(化名)和其女友刘颖,没想到半个月后,有当地人在树林里发现了一具尸体,经...
    沈念sama阅读 40,539评论 1 294
  • 正文 独居荒郊野岭守林人离奇死亡,尸身上长有42处带血的脓包…… 初始之章·张勋 以下内容为张勋视角 年9月15日...
    茶点故事阅读 35,434评论 2 317
  • 正文 我和宋清朗相恋三年,在试婚纱的时候发现自己被绿了。 大学时的朋友给我发了我未婚夫和他白月光在一起吃饭的照片。...
    茶点故事阅读 37,481评论 1 329
  • 序言:一个原本活蹦乱跳的男人离奇死亡,死状恐怖,灵堂内的尸体忽然破棺而出,到底是诈尸还是另有隐情,我是刑警宁泽,带...
    沈念sama阅读 33,160评论 3 317
  • 正文 年R本政府宣布,位于F岛的核电站,受9级特大地震影响,放射性物质发生泄漏。R本人自食恶果不足惜,却给世界环境...
    茶点故事阅读 38,749评论 3 303
  • 文/蒙蒙 一、第九天 我趴在偏房一处隐蔽的房顶上张望。 院中可真热闹,春花似锦、人声如沸。这庄子的主人今日做“春日...
    开封第一讲书人阅读 29,816评论 0 19
  • 文/苍兰香墨 我抬头看了看天上的太阳。三九已至,却和暖如春,着一层夹袄步出监牢的瞬间,已是汗流浃背。 一阵脚步声响...
    开封第一讲书人阅读 31,038评论 1 256
  • 我被黑心中介骗来泰国打工, 没想到刚下飞机就差点儿被人妖公主榨干…… 1. 我叫王不留,地道东北人。 一个月前我还...
    沈念sama阅读 42,548评论 2 346
  • 正文 我出身青楼,却偏偏与公主长得像,于是被迫代替她去往敌国和亲。 传闻我的和亲对象是个残疾皇子,可洞房花烛夜当晚...
    茶点故事阅读 42,140评论 2 341

推荐阅读更多精彩内容

  • 数据类型 动态数组 队列 【队列】结合了链表和数组的优点,可以在它的任何地方添加或删除元素,并且通过索引实现对任一...
    四季宝的守护神阅读 1,449评论 0 1
  • 数据类型 按照四值逻辑和二值逻辑类型来划分 四值逻辑类型:integer、logic、reg、net-type(例...
    四季宝的守护神阅读 981评论 0 1
  • 目录 参考资料 语言特性 关键字 变量与常量 数据类型 运算符 operators 控制流程语句 异常 Excep...
    GuoDongW阅读 184,942评论 22 342
  • Java 基础语法 [toc] 参考教程 菜鸟教程 --- Java 教程 介绍 Java 许多语法跟 C/C++...
    帅帅的小哥哥好阅读 270评论 0 0
  • 通读了Python官网的语法说明文档。原文来自:Python 语言参考(3.8.2版本)。在此,将原文的要点进行记...
    东旭曦影阅读 626评论 0 1