基于迭代单元的除法器

基于迭代单元的除法器

迭代单元

数字信号处理中,有大量的算法是基于迭代算法,即下一次的运算需要上一次运算的结果,将运算部分固化为迭代单元可以将数据处理和流程控制区分,更容易做出时序和面积优化更好的硬件描述,这次将基于迭代单元构造恢复余数和不恢复余数除法器

恢复余数除法器

迭代单元

算法

  1. 将除数移位i位
  2. 判断位移后的除数与余数大小
  3. 若位移除数大于余数,则余数输出当前余数,结果输出0;否则输出余数减位移除数,结果输出1
恢复余数除法器cell(来自《基于FPGA的数字信号处理》)

RTL代码

module restore_cell #(
    parameter WIDTH = 4,
    parameter STEP = 1
)(
    input clk,
    input rst_n,

    input [WIDTH * 3 - 1:0]remainder_din,
    input [WIDTH - 1:0]divisor,

    output reg [WIDTH * 3 - 1:0]remainder_dout,
    output reg quotient
);

wire [WIDTH * 3:0]divisor_exd = '{divisor};
wire [WIDTH * 3:0]sub = {1'b0,remainder_din} - (divisor_exd << STEP);

always @ (posedge clk or negedge rst_n) begin
    if(~rst_n) begin
        {remainder_dout,quotient} <= 'b0;
    end else begin
        if(sub[WIDTH * 3] == 1'b0) begin
            remainder_dout = sub;
        end else begin
            remainder_dout = remainder_din;
        end
        quotient = ~(sub[3 * WIDTH]);
    end
end

endmodule

顶层模块

module restore_cell_divider #(
    parameter WIDTH = 4
)(
    input clk,    // Clock
    input rst_n,  // Asynchronous reset active low

    input [2 * WIDTH - 1:0]dividend,
    input [WIDTH - 1:0]divisor,

    output [2 * WIDTH - 1:0]dout,
    output [WIDTH - 1:0]remainder
);

genvar i;
generate
    for (i = 2 * WIDTH - 1; i >= 0; i = i - 1) begin:restore
        wire [3 * WIDTH - 1:0]last_remaider;
        wire [3 * WIDTH - 1:0]this_remaider;
        if(i == 2 * WIDTH - 1) begin
            assign last_remaider = '{dividend};
        end else begin
            assign last_remaider = restore[i + 1].this_remaider;
        end
        restore_cell #(
            .WIDTH(WIDTH),
            .STEP(i)
        ) u_restore_cell (
            .clk(clk),
            .rst_n(rst_n),

            .remainder_din(last_remaider),
            .divisor(divisor),

            .remainder_dout(this_remaider),
            .quotient(dout[i])
        );
    end
endgenerate

assign remainder = restore[0].this_remaider[WIDTH - 1:0];

endmodule

不恢复余数除法器

迭代单元

算法

  1. 将除数移位i位
  2. 若余数大于0,余数输出余数减移位除数;否则余数输出余数加移位除数。结果输出余数符号位取反
不恢复余数除法器cell(来自《基于FPGA的数字信号处理》

RTL代码

module norestore_cell #(
    parameter WIDTH = 4,
    parameter STEP = 1
)(
    input clk,
    input rst_n,

    input [WIDTH * 3:0]remainder_din,
    input [WIDTH - 1:0]divisor,

    output reg [WIDTH * 3:0]remainder_dout,
    output reg quotient
);

wire [WIDTH * 3:0]divisor_exd = '{divisor};
wire [WIDTH * 3:0]divisor_move = divisor_exd << STEP;
wire [WIDTH * 3:0]sub = remainder_din - divisor_move;
wire [WIDTH * 3:0]add = remainder_din + divisor_move;

always @ (posedge clk or negedge rst_n) begin
    if(~rst_n) begin
        {remainder_dout,quotient} <= 'b0;
    end else begin
        if(remainder_din[3 * WIDTH] == 'b0) begin
            remainder_dout = sub;
            quotient = ~(sub[3 * WIDTH]);
        end else begin
            remainder_dout = add;
            quotient = ~(add[3 * WIDTH]);
        end
    end
end

endmodule

顶层模块

module norestore_cell_divider #(
    parameter WIDTH = 4
)(
    input clk,    // Clock
    input rst_n,  // Asynchronous reset active low

    input [2 * WIDTH - 1:0]dividend,
    input [WIDTH - 1:0]divisor,

    output [2 * WIDTH - 1:0]dout,
    output reg [WIDTH - 1:0]remainder
);

genvar i;
generate
    for (i = 2 * WIDTH - 1; i >= 0; i = i - 1) begin:restore
        wire [3 * WIDTH:0]last_remaider;
        wire [3 * WIDTH:0]this_remaider;
        if(i == 2 * WIDTH - 1) begin
            assign last_remaider = '{dividend};
        end else begin
            assign last_remaider = restore[i + 1].this_remaider;
        end
        norestore_cell #(
            .WIDTH(WIDTH),
            .STEP(i)
        ) u_restore_cell (
            .clk(clk),
            .rst_n(rst_n),

            .remainder_din(last_remaider),
            .divisor(divisor),

            .remainder_dout(this_remaider),
            .quotient(dout[i])
        );
    end
endgenerate

wire [3 * WIDTH:0]remainder_final = restore[0].this_remaider;
always @ (*) begin
    if(remainder_final[3 * WIDTH] == 1'b0) begin
        remainder = remainder_final[WIDTH - 1:0];
    end else begin
        remainder = remainder_final[WIDTH - 1:0] + divisor;
    end
end

endmodule

需要注意的是,不恢复余数除法器最后需要调整余数为正

最后编辑于
©著作权归作者所有,转载或内容合作请联系作者
  • 序言:七十年代末,一起剥皮案震惊了整个滨河市,随后出现的几起案子,更是在滨河造成了极大的恐慌,老刑警刘岩,带你破解...
    沈念sama阅读 200,045评论 5 468
  • 序言:滨河连续发生了三起死亡事件,死亡现场离奇诡异,居然都是意外死亡,警方通过查阅死者的电脑和手机,发现死者居然都...
    沈念sama阅读 84,114评论 2 377
  • 文/潘晓璐 我一进店门,熙熙楼的掌柜王于贵愁眉苦脸地迎上来,“玉大人,你说我怎么就摊上这事。” “怎么了?”我有些...
    开封第一讲书人阅读 147,120评论 0 332
  • 文/不坏的土叔 我叫张陵,是天一观的道长。 经常有香客问我,道长,这世上最难降的妖魔是什么? 我笑而不...
    开封第一讲书人阅读 53,902评论 1 272
  • 正文 为了忘掉前任,我火速办了婚礼,结果婚礼上,老公的妹妹穿的比我还像新娘。我一直安慰自己,他们只是感情好,可当我...
    茶点故事阅读 62,828评论 5 360
  • 文/花漫 我一把揭开白布。 她就那样静静地躺着,像睡着了一般。 火红的嫁衣衬着肌肤如雪。 梳的纹丝不乱的头发上,一...
    开封第一讲书人阅读 48,132评论 1 277
  • 那天,我揣着相机与录音,去河边找鬼。 笑死,一个胖子当着我的面吹牛,可吹牛的内容都是我干的。 我是一名探鬼主播,决...
    沈念sama阅读 37,590评论 3 390
  • 文/苍兰香墨 我猛地睁开眼,长吁一口气:“原来是场噩梦啊……” “哼!你这毒妇竟也来了?” 一声冷哼从身侧响起,我...
    开封第一讲书人阅读 36,258评论 0 254
  • 序言:老挝万荣一对情侣失踪,失踪者是张志新(化名)和其女友刘颖,没想到半个月后,有当地人在树林里发现了一具尸体,经...
    沈念sama阅读 40,408评论 1 294
  • 正文 独居荒郊野岭守林人离奇死亡,尸身上长有42处带血的脓包…… 初始之章·张勋 以下内容为张勋视角 年9月15日...
    茶点故事阅读 35,335评论 2 317
  • 正文 我和宋清朗相恋三年,在试婚纱的时候发现自己被绿了。 大学时的朋友给我发了我未婚夫和他白月光在一起吃饭的照片。...
    茶点故事阅读 37,385评论 1 329
  • 序言:一个原本活蹦乱跳的男人离奇死亡,死状恐怖,灵堂内的尸体忽然破棺而出,到底是诈尸还是另有隐情,我是刑警宁泽,带...
    沈念sama阅读 33,068评论 3 315
  • 正文 年R本政府宣布,位于F岛的核电站,受9级特大地震影响,放射性物质发生泄漏。R本人自食恶果不足惜,却给世界环境...
    茶点故事阅读 38,660评论 3 303
  • 文/蒙蒙 一、第九天 我趴在偏房一处隐蔽的房顶上张望。 院中可真热闹,春花似锦、人声如沸。这庄子的主人今日做“春日...
    开封第一讲书人阅读 29,747评论 0 19
  • 文/苍兰香墨 我抬头看了看天上的太阳。三九已至,却和暖如春,着一层夹袄步出监牢的瞬间,已是汗流浃背。 一阵脚步声响...
    开封第一讲书人阅读 30,967评论 1 255
  • 我被黑心中介骗来泰国打工, 没想到刚下飞机就差点儿被人妖公主榨干…… 1. 我叫王不留,地道东北人。 一个月前我还...
    沈念sama阅读 42,406评论 2 346
  • 正文 我出身青楼,却偏偏与公主长得像,于是被迫代替她去往敌国和亲。 传闻我的和亲对象是个残疾皇子,可洞房花烛夜当晚...
    茶点故事阅读 41,970评论 2 341

推荐阅读更多精彩内容